ISSN : 2663-2187

High-k Dielectric Enhanced Gate-All-Around Junctionless SiNWFETs for Advanced Biomedical Sensing Applications

Main Article Content

Debasis Mukherjee , Subhadip Goswami, Tapas Das
ยป doi: 10.48047/AFJBS.6.5.2024. 10701-10721

Abstract

Metal oxide semiconductor field effect transistor (MOSFET) based biosensors are widely used due to their cost effectiveness and beneficial properties. This research introduces an all-side gate FET with an increased k value. It has no junction and is constituted of a nanowire of Si. It is used for detection of biomolecules and improving the action of the transistor by incorporating a stack of gate and increased work function. The neutral biomolecules considered in this study are Streptavidin, Uricase, APTES, Protein and ChOx. Various important parameters including DIBL, slope of subthreshold, leakage current, VTH and transconductance shifts are examined. Furthermore, the influence of crater, like its width, k value and device recognition are investigated. The findings suggest that the GS GAA SiNWFET displays enactment like ION/IOFF ratio and subthreshold slope. Hafnium Oxide (HfO2) is recognized for its compatibility and thermal stability in MOSFETs. In summary, the proposed device is capable to perform sensing of biological substances in a better and effective way.

Article Details